Part Number Hot Search : 
141471JM 0XS18D7 MAX38 MPXV4006 060CT MC14568 RS204 12816
Product Description
Full Text Search
 

To Download MPC7447A06 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  ? freescale semiconductor, inc., 2006. all rights reserved. freescale semiconductor technical data this document is primarily concerned with the powerpc? mpc7447a; however, unless otherwise noted, all information here also applies to the mpc7447. the mpc7447a is an implementation of the powerpc microprocessor family of reduced instruction set computer (risc) microprocessors. this document describes pertinent electrical and physical characteristics of the mpc7447a. for functional characteristics of the processor, refer to the mpc7450 risc microprocessor family reference manual . to locate any published updates for this document, refer to the freescale website located at http://www.freescale.com. 1overview the mpc7447a is the fifth implementation of the fourth-generation (g4) microprocessors from freescale. the mpc7447a implements the full powerpc 32-bit architecture and is targeted at networking and computing systems applications. the mpc7447a consists of a processor core and a 512-kbyte l2. figure 1 shows a block diagram of the mpc7447a. the core is a high-performance superscalar design supporting a double-precision floating-point unit and a simd multimedia unit. the memory storage subsystem supports the mpx bus protocol and a subset of the 60x bus protocol to main memory and other system resources. mpc7447aec rev. 5, 01/2006 contents 1. overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 2. features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 3. comparison with the mpc7447, mpc7445, and mpc7441 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 4. general parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 5. electrical and thermal characteristics . . . . . . . . . . . . 9 6. pin assignments . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 7. pinout listings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 8. package description . . . . . . . . . . . . . . . . . . . . . . . . . 27 9. system design information . . . . . . . . . . . . . . . . . . . 34 10. document revision history . . . . . . . . . . . . . . . . . . . 52 11. ordering information . . . . . . . . . . . . . . . . . . . . . . . . 52 mpc7447a risc microprocessor hardware specifications
mpc7447a risc microprocessor hardware specifications, rev. 5 2 freescale semiconductor overview figure 1. mpc7447a block diagram + integer reservation station unit 2 + integer reservation station unit 2 additional features ? time base counter/decrementer ? clock multiplier ? jtag/cop interface ? thermal/power management ? performance monitor ? dynamic frequency switching (dfs) ? temperature diode + + x fpscr fpscr pa + x instruction unit instruction queue (12-word) 96-bit (3 instructions) reservation integer 128-bit (4 instructions) 32-bit floating- point unit 64-bit reservation load/store unit (ea calculation) finished 32-bit (16-entry) tags 32-kbyte d cache 36-bit 64-bit integer stations (2) reservation station reservation stations (2) fpr file 16 rename buffers stations (2-entry) gpr file 16 rename buffers reservation station vr file 16 rename buffers 64-bit 128-bit 128-bit completed instruction mmu srs (shadow) 128-entry ibat array itlb ta g s 32-kbyte i cache stores stores load miss ve ctor touch queue (3) vr issue fpr issue branch processing unit ctr lr btic (128-entry) bht (2048-entry) fetcher gpr issue (6-entry/3-issue) (4-entry/2-issue) (2-entry/1-issue) dispatch unit data mmu srs (original) 128-entry dbat array dtlb vector touch engine 32-bit ea l1 castout status l2 store queue (l2sq) ve ctor fpu reservation station reservation station reservation station vector integer unit 1 vector integer unit 2 vector permute unit line tags block 0 (32-byte) status block 1 (32-byte) memory subsystem snoop push/ interventions l1 castouts bus accumulator l1 push (4) unit 2 unit 1 l1 load queue (llq) l1 load miss (5) cacheable store miss (1) instruction fetch (2) l1 service l1 store queue (lsq) system bus interface l2 prefetch (3) address bus data bus queues castout bus store queue push load queue (11) queue (5) / queue (6) 1 the castout queue and push queue share resources such that they have a combined total of 6 entries. the castout queue itself is limited to 9 entries, ensuring 1 entry will be available for a push. 512-kbyte unified l2 cache controller notes: completion queue completion unit completes up to three per clock instructions
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 3 features note the mpc7447a is a footprint-compatible, drop-in replacement in an mpc7447 application if the core power supply is 1.3 v. 2features this section summarizes features of the mpc 7447a implementation of the powerpc architecture. major features of the mpc7447a are as follows: ? high-performance, superscalar microprocessor ? up to four instructions can be fetched from the instruction cache at a time. ? up to 12 instructions can be in the instruction queue (iq). ? up to 16 instructions can be at some stage of execution simultaneously. ? single-cycle execution for most instructions ? one instruction per clock cycle throughput for most instructions ? seven-stage pipeline control ? eleven independent execution uni ts and three register files ? branch processing unit (bpu) features static and dynamic branch prediction ? 128-entry (32-set, four-way set-associative) branch target instruction cache (btic), a cache of branch instructions that have been encount ered in branch/loop code sequences. if a target instruction is in the btic, it is fetched into the instruction queue a cycle sooner than it can be made available from the instruction cache. typically, a fetch that hits the btic provides the first four instructions in the target stream. ? 2048-entry branch history table (bht) with 2 bits per entry for four levels of prediction?not taken, strongly not ta ken, taken, and strongly taken ? up to three outstanding speculative branches ? branch instructions that do not update the count register (ctr) or link register (lr) are often removed from the instruction stream. ? eight-entry link register stack to predict the target address of branch conditional to link register ( bclr ) instructions ? four integer units (ius) that share 32 gprs for integer operands ? three identical ius (iu1a, iu1b, and iu1c) can execute all integer instructions except multiply, divide, and move to/from special-purpose register instructions. ? iu2 executes miscellaneous instructions including the cr logical operations, integer multiplication and division instructions, and move to/from special-purpose register instructions. ? five-stage fpu and a 32-entry fpr file ? fully ieee 754-1985?compliant fpu for both single- and double-precision operations ? supports non-ieee mode for time-critical operations ? hardware support for denormalized numbers ? thirty-two 64-bit fprs for single- or double-precision operands
mpc7447a risc microprocessor hardware specifications, rev. 5 4 freescale semiconductor features ? four vector units and 32-entry vector register file (vrs) ? vector permute unit (vpu) ? vector integer unit 1 (viu1) handles short-latency altivec? integer instructions, such as vector add instructions (for example, vaddsbs , vaddshs , and vaddsws ). ? vector integer unit 2 (viu2) handles longer-la tency altivec integer instructions, such as vector multiply add instructions (for example, vmhaddshs , vmhraddshs , and vmladduhm ). ? vector floating-point unit (vfpu) ? three-stage load/store unit (lsu) ? supports integer, floating-point, and vector instruction load/store traffic ? four-entry vector touch queue (vtq) supports all four architected altivec data stream operations ? 3-cycle gpr and altivec load latency (byt e, half word, word, vector) with 1-cycle throughput ? 4-cycle fpr load latency (single, double) with 1-cycle throughput ? no additional delay for misaligned access within double-word boundary ? dedicated adder calculates effective addresses (eas) ? supports store gathering ? performs alignment, normalization, and precision conversion for floating-point data ? executes cache control and tlb instructions ? performs alignment, zero padding, and sign extension for integer data ? supports hits under misses (multiple outstanding misses) ? supports both big- and little-endian modes, including misaligned little-endian accesses ? three issue queues, fiq, viq, and giq, can accept as many as one, two, and three instructions, respectively, in a cycle. instruction dispatch requires the following: ? instructions can only be dispatched from the three lowest iq entries?iq0, iq1, and iq2. ? a maximum of three instructions can be di spatched to the issue queues per clock cycle. ? space must be available in the cq for an instruction to dispatch. (this includes instructions that are assigned a space in the cq but not in an issue queue.) ? rename buffers ? 16 gpr rename buffers ? 16 fpr rename buffers ? 16 vr rename buffers ? dispatch unit ? decode/dispatch stage fully decodes each instruction ? completion unit ? the completion unit retires an instruction from the 16-entry completion queue (cq) when all instructions ahead of it have been completed, the instruction has finished execution, and no exceptions are pending.
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 5 features ? guarantees sequential programming model (precise exception model) ? monitors all dispatched instructions and retires them in order ? tracks unresolved branches and flushes instructions after a mispredicted branch ? retires as many as three instructions per clock cycle ? separate on-chip l1 instruction and data caches (harvard architecture) ? 32-kbyte, eight-way set-associative instruction and data caches ? pseudo least-recently-used (plru) replacement algorithm ? 32-byte (eight-word) l1 cache block ? physically indexed/physical tags ? cache write-back or write-through operation progr ammable on a per-page or per-block basis ? instruction cache can provide four instructions per clock cycle; data cache can provide four words per clock cycle ? caches can be disabled in software. ? caches can be locked in software. ? mesi data cache coherency maintained in hardware ? separate copy of data cache tags for efficient snooping ? parity support on cache and tags ? no snooping of instruction cache except for icbi instruction ? data cache supports altivec lru and transient instructions ? critical double- and/or quad-word forwarding is performed as needed. critical quad-word forwarding is used for altivec loads and instruction fetches. other accesses use critical double-word forwarding. ? level 2 (l2) cache interface ? on-chip, 512-kbyte, eight-way set-associative unified instruction and data cache ? fully pipelined to provide 32 bytes per clock cycle to the l1 caches ? a total 9-cycle load latency for an l1 data cache miss that hits in l2 ? cache write-back or write-through operation progr ammable on a per-page or per-block basis ? 64-byte, two-sectored line size ? parity support on cache ? separate memory management units (mmus) for instructions and data ? 52-bit virtual address, 32- or 36-bit physical address ? address translation for 4-kbyte pages, va riable-sized blocks, and 256-mbyte segments ? memory programmable as write-back/write-thr ough, caching-inhibited/caching-allowed, and memory coherency enforced/memory coherenc y not enforced on a page or block basis ? separate ibats and dbats (eight each) also defined as sprs ? separate instruction and data translation lookaside buffers (tlbs) ? both tlbs are 128-entry, two-way set-associative, and use an lru replacement algorithm.
mpc7447a risc microprocessor hardware specifications, rev. 5 6 freescale semiconductor features ? tlbs are hardware- or software-reloadable (tha t is, a page table search is performed in hardware or by system software on a tlb miss). ? efficient data flow ? although the vr/lsu interface is 128 bits, the l1/l2 bus interface allows up to 256 bits. ? the l1 data cache is fully pipelined to provide 128 bits/cycle to or from the vrs. ? the l2 cache is fully pipelined to provide 256 bits per processor clock cycle to the l1 cache. ? as many as eight outstanding out-of-order cache misses are allowed between the l1 data cache and the l2 bus. ? as many as 16 out-of-order transactions can be present on the mpx bus. ? store merging for multiple store misses to the same line. only coherency action taken (address-only) for store misses merged to all 32 bytes of a cache block (no data tenure needed). ? three-entry finished store queue and five-ent ry completed store queue between the lsu and the l1 data cache ? separate additional queues for efficient bu ffering of outbound data (such as castouts and write-through stores) from the l1 data cache and l2 cache ? multiprocessing support features include the following: ? hardware-enforced, mesi cache coherency protocols for data cache ? load/store with reservation instruction pair for atomic memory references, semaphores, and other multiprocessor operations ? power and thermal management ? a new dynamic frequency switching (dfs) featur e allows processor core frequency to be halved through software to reduce power consumption. ? the following three power-saving modes are available to the system: ? nap?instruction fetching is halted. only the clocks for the time base, decrementer, and jtag logic remain running. the part goes into the doze state to snoop memory operations on the bus and then back to nap using a qreq /qack processor-system handshake protocol. ? sleep?power consumption is further reduced by disabling bus snooping, leaving only the pll in a locked and running state. all internal functional units are disabled. ? deep sleep?when the part is in the sleep state, the system can disable the pll. the system can then disable the sysclk source for greater system power savings. power-on reset procedures for restarting and relocking the pll must be followed upon exiting the deep sleep state. ? instruction cache throttling provides control of instruction fetching to limit device temperature. ? a new temperature diode can determine the temperature of the microprocessor. ? support for core voltage derating to further reduce power consumption ? performance monitor can be used to help debug system designs and improve software efficiency. ? in-system testability and debugging features through jtag boundary-scan capability ? testability ? lssd scan design
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 7 comparison with the mpc7447, mpc7445, and mpc7441 ? ieee 1149.1 jtag interface ? array built-in self test (abist)?factory test only ? reliability and serviceability ? parity checking on system bus ? parity checking on the l1 and l2 caches 3 comparison with the mpc7447, mpc7445, and mpc7441 table 1 compares the key features of the mpc7447a with the key features of the earlier mpc7447, mpc7445, and mpc7441. all are based on the mpc 7450 risc microprocessor and are very similar architecturally. the mpc7447a is identical to the mpc7447 but includes the dfs and temperature diode features. table 1. microarchitecture comparison microarchitectural specs mpc7447a mpc7447 mpc7445 mpc7441 basic pipeline functions logic inversions per cycle 18 pipeline stages up to execute 5 total pipeline stages (minimum) 7 pipeline maximum instruction throughput 3 + branch pipeline resources instruction buffer size 12 completion buffer size 16 renames (integer, float, vector) 16, 16, 16 maximum execution throughput sfx 3 vector 2 (any 2 of 4 units) scalar floating-point 1 out-of-order window size in execution queues sfx integer units 1 entry 3 queues vector units in order, 4 queues scalar floating-point unit in order branch processing resources prediction structures btic, bht, link stack btic size, associativity 128-entry, 4-way
mpc7447a risc microprocessor hardware specifications, rev. 5 8 freescale semiconductor comparison with the mpc7447, mpc7445, and mpc7441 bht size 2k-entry link stack depth 8 unresolved branches supported 3 branch taken penalty (btic hit) 1 minimum misprediction penalty 6 execution unit timings (latency-throughput) aligned load (integer, float, vector) 3-1, 4-1, 3-1 misaligned load (integer, float, vector) 4-2, 5-2, 4-2 l1 miss, l2 hit latency 9 data/13 instruction sfx (add sub, shift, rot, cmp, logicals) 1-1 integer multiply (32 8, 32 16, 32 32) 3-1, 3-1, 4-2 scalar float 5-1 vsfx (vector simple) 1-1 vcfx (vector complex) 4-1 vfpu (vector float) 4-1 vper (vector permute) 2-1 mmus tlbs (instruction and data) 128-entry, 2-way tablewalk mechanism hardware + software instruction bats/data bats 8/8 8/8 8/8 4/4 l1 i cache/d cache features size 32k/32k associativity 8-way locking granularity way parity on instruction cache word parity on data cache byte number of data cache misses (load/store) 5/1 data stream touch engines 4 streams on-chip cache features cache level l2 size/associativity 512-kbyte/8-way 256-kbyte/8-way access width 256 bits table 1. microarchitecture comparison (continued) microarchitectural specs mpc7447a mpc7447 mpc7445 mpc7441
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 9 general parameters 4 general parameters the following list is a summary of th e general parameters of the mpc7447a: technology 0.13- m cmos, nine-layer metal die size 8.51 mm 9.86 mm transistor count 48.6 million logic design fully-static packages surface mount 360 ceramic ball grid array (hcte) surface mount rohs-compliant 360 ceramic ball grid array (hcte) surface mount 360 ceramic land grid array (hcte) core power supply 1.3 v 50 mv dc (nominal), or 1.2 v 50 mv dc (derated) i/o power supply 1.8 v 5% dc, or 2.5 v 5% dc 5 electrical and thermal characteristics this section provides the ac and dc electrical specifications and thermal characteristics for the mpc7447a. 5.1 dc electrical characteristics the tables in this section describe the mpc7447a dc electrical characteristics. table 2 provides the absolute maximum ratings. number of 32-byte sectors/line 2 parity byte thermal control dynamic frequency switching (dfs) yes no no no thermal diode yes no no no table 2. absolute maximum ratings 1 characteristic symbol maximum value unit notes core supply voltage v dd ?0.3 to 1.60 v 2 pll supply voltage av dd ?0.3 to 1.60 v 2 processor bus supply voltage bvsel = 0 ov dd ?0.3 to 1.95 v 3, 4 bvsel = hreset or ov dd ov dd ?0.3 to 2.7 v 3, 5 input voltage processor bus v in ?0.3 to ov dd + 0.3 v 6, 7 jtag signals v in ?0.3 to ov dd + 0.3 v ? table 1. microarchitecture comparison (continued) microarchitectural specs mpc7447a mpc7447 mpc7445 mpc7441
mpc7447a risc microprocessor hardware specifications, rev. 5 10 freescale semiconductor electrical and thermal characteristics figure 2 shows the undershoot and overshoot voltage on the mpc7447a. figure 2. overshoot/undershoot voltage the mpc7447a provides several i/o voltages to s upport both compatibility with existing systems and migration to future systems. the mpc7447a core voltage must always be provided at the nominal voltage (see table 4 ) or at the supported derated voltage (see section 5.3, ?voltage and frequency derating? ). the input voltage threshold for each bus is selected by sa mpling the state of the voltage select pins at the negation of the signal hreset . the output voltage will swing from gnd to the maximum voltage applied to the ov dd power pins. table 3 provides the input threshold voltage settings. because these settings may change in future products, it is recommended that bv sel be configured using resistor options, jumpers, or some other flexible means, with the capability to r econfigure the termination of this signal in the future if necessary. storage temperature range t stg ?55 to 150 c ? notes : 1. functional and tested operating conditions are given in ta b l e 4 . absolute maximum ratings are stress ratings only, and functional operation at the maximums is not guaranteed. stresses beyond those listed may affect device reliability or cause permanent damage to the device. 2. caution : v dd /av dd must not exceed ov dd by more than 1.0 v during normal operation; this limit may be exceeded for a maximum of 20 ms during the power-on reset and power-down sequences. 3. caution : ov dd must not exceed v dd /av dd by more than 2.0 v during normal operation; this limit may be exceeded for a maximum of 20 ms during the power-on reset and power-down sequences. 4. bvsel must be set to 0, such that the bus is in 1.8-v mode. 5. bvsel must be set to hreset or 1, such that the bus is in 2.5-v mode. 6. caution : v in must not exceed ov dd by more than 0.3 v at any time including during power-on reset. 7. v in may overshoot/undershoot to a voltage and for a maximum duration as shown in figure 2 . table 2. absolute maximum ratings 1 (continued) characteristic symbol maximum value unit notes v ih gnd gnd ? 0.3 v gnd ? 0.7 v not to exceed 10% ov dd + 20% v il ov dd ov dd + 5% of t sysclk
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 11 electrical and thermal characteristics table 4 provides the recommended operating conditions for the mpc7447a. note table 4 describes the nominal operating conditions of the device. for information regarding the operation of the device at supported derated core voltage conditions, see section 5.3, ?voltage and frequency derating.? table 5 provides the package thermal characteristics for the mpc7447a. table 3. input threshold voltage settings bvsel signal processor bus input threshold is relative to: notes 0 1.8 v 1, 2 ?hreset not available 1 hreset 2.5 v 1 12.5 v1 notes: 1. caution: the input threshold selection must agree with the ov dd voltages supplied. see notes in ta b l e 2 . 2. if used, pull-down resistors should be less than 250 . table 4. recommended operating conditions 1 characteristic symbol recommended value unit notes minimum maximum core supply voltage v dd 1.3 v 50 mv v 3 pll supply voltage av dd 1.3 v 50 mv v 2, 3 processor bus supply voltage bvsel = 0 ov dd 1.8 v 5% v bvsel = hreset or ov dd ov dd 2.5 v 5% input voltage processor bus v in gnd ov dd v jtag signals v in gnd ov dd die-junction temperature t j 0105c notes: 1. these are the recommended and tested operating conditions. in addition, these devices also support voltage derating; see section 5.3, ?voltage and frequency derating.? proper device operation outside of these conditions and those specified in section 5.3 is not guaranteed. 2. this voltage is the input to the filter discussed in section 9.2, ?pll power supply filtering,? and not necessarily the voltage at the av dd pin, which may be reduced from v dd by the filter. 3. v dd and av dd may be reduced in order to reduce power consumption if further maximum core frequency constraints are observed. see section 5.3, ?voltage and frequency derating,? for specific information. table 5. package thermal characteristics 1 characteristic symbol value unit notes junction-to-ambient thermal resistance, natural convection, single-layer (1s) board r ja 26 c/w 2, 3 junction-to-ambient thermal resistance, natural convection, four-layer (2s2p) board r jma 19 c/w 2, 4 junction-to-ambient thermal resistance, 200 ft/min airflow, single-layer (1s) board r jma 20 c/w 2, 4
mpc7447a risc microprocessor hardware specifications, rev. 5 12 freescale semiconductor electrical and thermal characteristics table 6 provides the dc electrical characteristics for the mpc7447a. junction-to-ambient thermal resistance, 200 ft/min airflow, four-layer (2s2p) board r jma 16 c/w 2, 4 junction-to-board thermal resistance r jb 10 c/w 5 junction-to-case thermal resistance r jc < 0.1 c/w 6 notes : 1. refer to section 9.8, ?thermal management information,? for details about thermal management. 2. junction temperature is a function of on-chip power dissipation, package thermal resistance, mounting site (board) temperature, ambient temperature, airflow, power dissipation of other components on the board, and board thermal resistance. 3. per semi g38-87 and jedec jesd51-2 with the single-layer board horizontal. 4. per jedec jesd51-6 with the board horizontal. 5. thermal resistance between the die and the printed-circuit board per jedec jesd51-8. board temperature is measured on the top surface of the board near the package. 6. this is the thermal resistance between the die and the case top surface as measured by the cold plate method (mil spec-883 method 1012.1) with the calculated case temperature. the actual value of r jc for the part is less than 0.1c/w. table 6. dc electrical specifications at recommended operating conditions. see table 4 . characteristic nominal bus vo l tag e 1 symbol min max unit notes input high voltage (all inputs) 1.8 v ih ov dd 0.65 ov dd + 0.3 v 2 2.5 1.7 ov dd + 0.3 input low voltage (all inputs) 1.8 v il ?0.3 ov dd 0.35 v 2, 6 2.5 ?0.3 0.7 input leakage current, v in = ov dd v in = gnd ?i in ? 30 ? 30 a 2, 3 high-impedance (off-state) leakage current, v in = ov dd v in = gnd ?i tsi ? 30 ? 30 a 2, 3, 4 output high voltage @ i oh = ?5 ma 1.8 v oh ov dd ? 0.45 ? v 2.5 1.8 ? output low voltage @ i ol = 5 ma 1.8 v ol ?0.45v 2.5 ? 0.6 table 5. package thermal characteristics 1 (continued) characteristic symbol value unit notes
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 13 electrical and thermal characteristics table 7 provides the power consumption for the mpc7447a. for information regarding power consumption when dynamic frequenc y switching is enabled, see section 9.8.5, ?dynamic frequency switching (dfs).? note the power consumption information in this table applies when the device is operated at the nominal core voltage indicated in table 4 . for power consumption at derated co re voltage conditions, see section 5.3, ?voltage and frequency derating.? capacitance, v in = 0 v, f = 1 mhz all other inputs c in ?8.0pf5 notes : 1. nominal voltages; see table 4 for recommended operating conditions. 2. for processor bus signals, the reference is ov dd 3. excludes test signals and ieee 1149.1 boundary scan (jtag) signals 4. the leakage is measured for nominal ov dd and v dd , or both ov dd and v dd must vary in the same direction (for example, both ov dd and v dd vary by either +5% or ?5%). 5. capacitance is periodically sampled rather than 100% tested. 6. excludes signals with internal pullups: bvsel, lssd_mode , tdi, tms, and trst . table 7. power consumption for mpc7447a processor (cpu) frequency unit notes 1000 1267 1333 5 1420 mhz full-power mode typical 16.0 18.3 18.0 21.0 w 1, 2 maximum 23.0 26.0 25.0 30.0 w 1, 3 nap mode typical 4.1 4.1 3.3 4.1 w 1, 2 sleep mode typical 4.1 4.1 3.3 4.1 w 1, 2 deep sleep mode (pll disabled) table 6. dc electrical specifications (continued) at recommended operating conditions. see table 4 . characteristic nominal bus vo l tag e 1 symbol min max unit notes
mpc7447a risc microprocessor hardware specifications, rev. 5 14 freescale semiconductor electrical and thermal characteristics 5.2 ac electrical characteristics this section provides the ac electrical characteris tics for the mpc7447a. after fabrication, functional parts are sorted by maximum processor core frequency as shown in section 5.2.1, ?clock ac specifications,? and tested for conformance to the ac specifications for that frequency. the processor core frequency is determined by the bus (sysclk) freque ncy and the settings of the pll_cfg[0:4] signals, and can be dynamically modified using dynamic freq uency switching (dfs). parts are sold by maximum processor core frequency; see section 11, ?ordering information,? for information on ordering parts. dfs is described in section 9.8.5, ?dynamic fre quency switching (dfs).? 5.2.1 clock ac specifications table 8 provides the clock ac timing specifications as defined in figure 3 and represents the tested operating frequencies of the devices. th e maximum system bus frequency, f sysclk , given in table 8 , is considered a practical maximum in a typical single-processor system. the actual maximum sysclk frequency for any application of the mpc7447a will be a function of the ac timings of the mpc7447a, the ac timings for the system controller, bus loading, printed-circuit board topology, trace lengths, and so forth, and may be less than the value given in table 8 . note the core frequency information in this table applies when operating the device at the nominal core voltage indicated in table 4 . for core frequency specifications at derated core voltage conditions, see section 5.3, ?voltage and frequency derating.? typical 4.1 4.0 3.2 4.0 w 1, 2 notes: 1. these values specify the power consumption for the core power supply (v dd ) at nominal voltage and apply to all valid processor bus frequencies and configurations. the values do not include i/o supply power (ov dd ) or pll supply power (av dd ). ov dd power is system dependent but is typically < 5% of v dd power. worst case power consumption for av dd < 3 mw. 2. typical power is an average value measured at the nominal recommended v dd (see ta b l e 4 ) and 65 c while running the dhrystone 2.1 benchmark and achieving 2.3 dhrystone mips/mhz. 3. maximum power is the average measured at nominal v dd and maximum operating junction temperature (see ta ble 4 ) while running an entirely cache-resident, contrived sequence of instructions which keep all the execution units maximally busy. 4. doze mode is not a user-definable state; it is an intermediate state between full-power and either nap or sleep mode. as a result, power consumption for this mode is not tested. 5. power consumption for these devices is artificially constrained during screening to assure lower power consumption than other speed grades. table 7. power consumption for mpc7447a (continued) processor (cpu) frequency unit notes 1000 1267 1333 5 1420 mhz
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 15 electrical and thermal characteristics figure 3 provides the sysclk input timing diagram. figure 3. sysclk input timing diagram table 8. clock ac timing specifications at recommended operating conditions. see table 4 . characteristic symbol maximum processor core frequency unit notes 1000 mhz 1267 mhz 1333 mhz 1420 mhz min max min max min max min max processor core frequency f core 600 1000 600 1267 600 1333 600 1420 mhz 1, 8, 9 vco frequency f vco 1200 2000 1200 2533 1200 2667 1200 2840 mhz 1, 9 sysclk frequency f sysclk 33 167 33 167 33 167 33 167 mhz 1, 2, 8 sysclk cycle time t sysclk 6.0 30 6.0 30 6.0 30 6.0 30 ns 2 sysclk rise and fall time t kr , t kf ?1.0?1.0?1.0?1.0ns 3 sysclk duty cycle measured at ov dd /2 t khkl / t sysclk 40 60 40 60 40 60 40 60 % 4 sysclk cycle-to-cycle jitter ? 150 ? 150 ? 150 ? 150 ps 5, 6 internal pll relock time ? 100 ? 100 ? 100 ? 100 s7 notes : 1. caution : the sysclk frequency and pll_cfg[0:4] settings must be chosen such that the resulting sysclk (bus) frequency, processor core frequency, and pll (vco) frequency do not exceed their respective maximum or minimum operating frequencies. refer to the pll_cfg[0:4] signal description in section 9.1.1, ?pll configuration,? for valid pll_cfg[0:4] settings. 2. assumes a lightly-loaded, single-processor system. 3. rise and fall times for the sysclk input measured from 0.4 to 1.4 v. 4. timing is guaranteed by design and characterization. 5. guaranteed by design. 6. the sysclk driver?s closed loop jitter bandwidth should be less than 1.5 mhz at ?3 db. 7. relock timing is guaranteed by design and characterization. pll relock time is the maximum amount of time required for pll lock after a stable v dd and sysclk are reached during the power-on reset sequence. this specification also applies when the pll has been disabled and subsequently re-enabled during sleep mode. also note that hreset must be held asserted for a minimum of 255 bus clocks after the pll relock time during the power-on reset sequence. 8. caution : if dfs is enabled, the sysclk frequency and pll_cfg[0:4] settings must be chosen such that the resulting processor frequency is greater than or equal to the minimum core frequency. 9. caution : these values specify the maximum processor core and vco frequencies when the device is operated at the nominal core voltage. if operating the device at the derated core voltage, the processor core and vco frequencies must be reduced. see section 5.3, ?voltage and frequency derating,? for more information. sysclk v m v m v m cv ih cv il v m = midpoint voltage (ov dd /2) t sysclk t kr t kf t khkl
mpc7447a risc microprocessor hardware specifications, rev. 5 16 freescale semiconductor electrical and thermal characteristics 5.2.2 processor bus ac specifications table 9 provides the processor bus ac timing specifications for the mpc7447a as defined in figure 4 and figure 5 . table 9. processor bus ac timing specifications 1 at recommended operating conditions. see table 4 . parameter symbol 2 all speed grades unit notes min max input setup times: a[0:35], ap[0:4] d[0:63], dp[0:7] aack , artry , bg , ckstp_in , dbg , dti[0:3], gbl , tt[0:3], qack , ta , tben, tea , ts , ext_qual, pmon_in , shd [0:1] bmode [0:1], bvsel t avkh t dvkh t ivkh t mvkh 1.8 1.8 1.8 1.8 ? ? ? ? ns ? ? ? 8 input hold times: a[0:35], ap[0:4] d[0:63], dp[0:7] aack , artry , bg , ckstp_in , dbg , dti[0:3], gbl , tt[0:3], qack , ta , tben, tea , ts , ext_qual, pmon_in , shd [0:1] bmode [0:1], bvsel t axkh t dxkh t ixkh t mxkh 0 0 0 0 ? ? ? ? ns ? ? ? ? 8 output valid times: a[0:35], ap[0:4] d[0:63], dp[0:7] aack , br , ci , ckstp_in , drdy , dti[0:3], gbl , hit , pmon_out , qreq , tbst , tsiz[0:2], tt[0:3], wt ts artry , shd [0:1] t khav t khdv t khov t khtsv t kharv ? ? ? ? ? 2.0 2.0 2.0 2.0 2.0 ns output hold times: a[0:35], ap[0:4] d[0:63], dp[0:7] aack , br , ci , ckstp_in , drdy , dti[0:3], gbl , hit , pmon_out , qreq , tbst , tsiz[0:2], tt[0:3], wt ts artry , shd [0:1] t khax t khdx t khox t khtsx t kharx 0.5 0.5 0.5 0.5 0.5 ? ? ? ? ? ns sysclk to output enable t khoe 0.5 ? ns 5 sysclk to output high impedance (all except ts , artry , shd0 , shd1 ) t khoz ?3.5ns5 sysclk to ts high impedance after precharge t khtspz ?1t sysclk 3, 4, 5 maximum delay to artry /shd0 /shd1 precharge t kharp ?1t sysclk 3, 5, 6, 7
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 17 electrical and thermal characteristics figure 4 provides the ac test load for the mpc7447a. figure 4. ac test load sysclk to artry /shd0 /shd1 high impedance after precharge t kharpz ?2t sysclk 3, 5, 6, 7 notes: 1. all input specifications are measured from the midpoint of the signal in question to the midpoint of the rising edge of the input sysclk. all output specifications are measured from the midpoint of the rising edge of sysclk to the midpoint of the signal in question. all output timings assume a purely resistive 50- load (see figure 4 ). input and output timings are measured at the pin; time-of-flight delays must be added for trace lengths, vias, and connectors in the system. 2. the symbology used for timing specifications herein follows the pattern of t (signal)(state)(reference)(state) for inputs and t (reference)(state)(signal)(state) for outputs. for example, t ivkh symbolizes the time input signals (i) reach the valid state (v) relative to the sysclk reference (k) going to the high (h) state or input setup time. and t khov symbolizes the time from sysclk(k) going high (h) until outputs (o) are valid (v) or output valid time. input hold time can be read as the time that the input signal (i) went invalid (x) with respect to the rising clock edge (kh) (note the position of the reference and its state for inputs) and output hold time can be read as the time from the rising edge (kh) until the output went invalid (ox). 3. t sysclk is the period of the external clock (sysclk) in ns. the numbers given in the table must be multiplied by the period of sysclk to compute the actual time duration (in ns) of the parameter in question. 4. according to the bus protocol, ts is driven only by the currently active bus master. it is asserted low and precharged high before returning to high impedance, as shown in figure 6 . the nominal precharge width for ts is 0.5 t sysclk , that is, less than the minimum t sysclk period, to ensure that another master asserting ts on the following clock will not contend with the precharge. output valid and output hold timing is tested for the signal asserted. output valid time is tested for precharge.the high-impedance behavior is guaranteed by design. 5. guaranteed by design and not tested. 6. according to the bus protocol, artry can be driven by multiple bus masters through the clock period immediately following aack . bus contention is not an issue because any master asserting artry will be driving it low. any master asserting it low in the first clock following aack will then go to high impedance for 1 clock before precharging it high during the second cycle after the assertion of aack . the nominal precharge width for artry is 1.0 t sysclk ; that is, it should be high impedance as shown in figure 6 before the first opportunity for another master to assert artry . output valid and output hold timing is tested for the signal asserted.the high-impedance behavior is guaranteed by design. 7. according to the mpx bus protocol, shd0 and shd1 can be driven by multiple bus masters beginning the cycle of ts . timing is the same as artry , that is, the signal is high impedance for a fraction of a cycle, then negated for up to an entire cycle (crossing a bus cycle boundary) before being three-stated again. the nominal precharge width for shd0 and shd1 is 1.0 t sysclk . the edges of the precharge vary depending on the programmed ratio of core to bus (pll configurations). 8. bmode [0:1] and bvsel are mode select inputs and are sampled before and after hreset negation. these parameters represent the input setup and hold times for each sample. these values are guaranteed by design and not tested. these inputs must remain stable after the second sample. see figure 5 for sample timing. table 9. processor bus ac timing specifications 1 (continued) at recommended operating conditions. see table 4 . parameter symbol 2 all speed grades unit notes min max output z 0 = 50 ov dd /2 r l = 50
mpc7447a risc microprocessor hardware specifications, rev. 5 18 freescale semiconductor electrical and thermal characteristics figure 5 provides the mode select input timing diagram for the mpc7447a. the mode select inputs are sampled twice, once before and once after hreset negation. figure 5. mode input sample timing diagram figure 6 provides the input/output timing diagram for the mpc7447a. figure 6. input/output timing diagram hreset mode signals v m = midpoint voltage (ov dd /2) sysclk 1st sample 2nd sample v m v m sysclk all inputs v m v m = midpoint voltage (ov dd /2) all outputs t khox v m t khdv (except ts , artry , shd0 , shd1 ) all outputs ts artry , (except ts , artry , shd0 , shd1 ) v m t khoe t khoz t khtspz t kharpz t kharp shd1 shd0 , t khov t khav t khdx t khax t ixkh t axkh t khtsx t khtsv t khtsv t kharv t kharx t ivkh t avkh t mvkh t mxkh
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 19 electrical and thermal characteristics 5.2.3 ieee 1149.1 ac timing specifications table 10 provides the ieee 1149.1 (jtag) ac timing specifications as defined in figure 16 through figure 19 . figure 7 provides the ac test load for tdo and the boundary-scan outputs of the mpc7447a. figure 7. alternate ac test load for the jtag interface table 10. jtag ac timing specifications (independent of sysclk) 1 at recommended operating conditions. see table 4 . parameter symbol min max unit notes tck frequency of operation f tclk 0 33.3 mhz tck cycle time t tclk 30 ? ns tck clock pulse width measured at 1.4 v t jhjl 15 ? ns tck rise and fall times t jr and t jf ?2ns trst assert time t trst 25 ? ns 2 input setup times: boundary-scan data tms, tdi t dvjh t ivjh 4 0 ? ? ns 3 input hold times: boundary-scan data tms, tdi t dxjh t ixjh 20 25 ? ? ns 3 valid times: boundary-scan data tdo t jldv t jlov 4 4 20 25 ns 4 output hold times: boundary-scan data tdo t jldx t jlox 30 30 ? ? ns 4 tck to output high impedance: boundary-scan data tdo t jldz t jloz 3 3 19 9 ns 4, 5 notes: 1. all outputs are measured from the midpoint voltage of the falling/rising edge of tclk to the midpoint of the signal in question. the output timings are measured at the pins. all output timings assume a purely resistive 50- load (see figure 7 ). time-of-flight delays must be added for trace lengths, vias, and connectors in the system. 2. trst is an asynchronous level sensitive signal. the time is for test purposes only. 3. non-jtag signal input timing with respect to tck. 4. non-jtag signal output timing with respect to tck. 5. guaranteed by design and characterization. output z 0 = 50 ov dd /2 r l = 50
mpc7447a risc microprocessor hardware specifications, rev. 5 20 freescale semiconductor electrical and thermal characteristics figure 8 provides the jtag clock input timing diagram. figure 8. jtag clock input timing diagram figure 9 provides the trst timing diagram. figure 9. trst timing diagram figure 10 provides the boundary-scan timing diagram. figure 10. boundary-scan timing diagram v m v m v m v m = midpoint voltage (ov dd /2) t tclk t jr t jf t jhjl tclk trst t trst v m = midpoint voltage (ov dd /2) v m v m v m tck boundary boundary boundary data outputs data inputs data outputs v m = midpoint voltage (ov dd /2) t dxjh t dvjh t jldv t jldz input data valid output data valid output data valid t jldx v m
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 21 electrical and thermal characteristics figure 11 provides the test access port timing diagram. figure 11. test access port timing diagram 5.3 voltage and frequency derating to reduce the power consumption of the device, th ese devices support voltage and frequency derating whereby the core voltage (v dd ) may be reduced if the reduced maximum processor core frequency requirements are observed. the supported derated core voltage, resulting maximum processor core frequency (f core ), and power consumption are provided in table 11 . only those parameters in table 11 are affected; all other parameter specifications are unaffected. table 11. supported voltage, core frequency, and power consumption derating maximum rated core frequency (device marking) supported derated core voltage (v dd ) maximum derated core frequency (f core ) full-power mode power consumption maximum typical 1000 1.20 v 50mv 867 mhz 15.5 w 10.5 w 1267 1065 mhz 18.2 w 12.3 w 1333 1167 mhz 18.1 w 12.3 w 1420 1267 mhz 21.0 w 14.2 w v m tck tdi, tms tdo output data valid v m = midpoint voltage (ov dd /2) t ixjh t ivjh t jlov t jloz input data valid tdo output data valid t jlox v m
mpc7447a risc microprocessor hardware specifications, rev. 5 22 freescale semiconductor pin assignments 6 pin assignments figure 12 (in part a) shows the pinout of the mpc7447a, 360 high coefficient of thermal expansion ceramic ball grid array (hcte) package as viewed from the top surface. part b shows the side profile of the hcte package to indicate the direction of the top surface view. figure 12. pinout of the mpc7447a, 360 hcte package as viewed from the top surface a b c d e f g h j k l m n p r t 12 3 4 5 678 910111213141516 not to scale 17 18 19 u v w part a view part b die substrate assembly encapsulant
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 23 pinout listings 7 pinout listings table 12 provides the pinout listing for the mpc7447a, 360 hcte package. the pinouts of the mpc7447a and mpc7447 are pin compatible, but ther e have been some changes. an mpc7447a may be populated on a board designed for a mpc7447 provi ded all pins defined as ?no connect? for the mpc7447 are unterminated as required by the mpc7457 risc microprocessor hardware specifications . the mpc7447a uses pins previously marked ?no connect? for the temperature diode pins and for additional power and ground connections. because these ?no connect? pins in the mpc7447 360 pin package are not driven in functional mode, an mpc7447 can be populated in an mpc7447a board. see section 9.4, ?connection recommendations,? for additional information. note caution must be exercised when performing boundary scan test operations on a board designed for an mpc7447a but populated with an mpc7447. this is because in the mpc7447 it is possible to drive the latches associated with the former ?no connect? pins in the mpc7447, potentially causing contention on those pins. to prevent this, ensure that these pins are not connected on the board or, if they are connected, ensure that the states of internal mpc7447 latches do not cause these pins to be driven during board testing. note this pinout is not compatible with the mpc750, mpc7400, or mpc7410 360 bga and lga package. table 12. pinout listing for the mpc7447a, 360 hcte package signal name pin number active i/o i/f select 1 notes a[0:35] e11, h1, c11, g3, f10, l2, d11, d1, c10, g2, d12, l3, g4, t2, f4, v1, j4, r2, k5, w2, j2, k4, n4, j3, m5, p5, n3, t1, v2, u1, n5, w1, b12, c4, g10, b11 high i/o bvsel 2 aack r1 low input bvsel ap[0:4] c1, e3, h6, f5, g7 high i/o bvsel 2 artry n2 low i/o bvsel 3 av dd a8 ? input n/a bg m1 low input bvsel bmode0 g9 low input bvsel 4 bmode1 f8 low input bvsel 5 br d2 low output bvsel bvsel b7 high input bvsel 1, 6 ci j1 low output bvsel ckstp_in a3 low input bvsel ckstp_out b1 low output bvsel
mpc7447a risc microprocessor hardware specifications, rev. 5 24 freescale semiconductor pinout listings clk_out h2 high output bvsel d[0:63] r15, w15, t14, v16, w16, t15, u15, p14, v13, w13, t13, p13, u14, w14, r12, t12, w12, v12, n11, n10, r11, u11, w11, t11, r10, n9, p10, u10, r9, w10, u9, v9, w5, u6, t5, u5, w7, r6, p7, v6, p17, r19, v18, r18, v19, t19, u19, w19, u18, w17, w18, t16, t18, t17, w3, v17, u4, u8, u7, r7, p6, r8, w8, t8 high i/o bvsel dbg m2 low input bvsel dp[0:7] t3, w4, t4, w9, m6, v3, n8, w6 high i/o bvsel drdy r3 low output bvsel 7 dti[0:3] g1, k1, p1, n1 high input bvsel 8 ext_qual a11 high input bvsel 9 gbl e2 low i/o bvsel gnd b5, c3, d6, d13, e17, f3, g17, h4, h7, h9, h11, h13, j6, j8, j10, j12, k7, k3, k9, k11, k13, l6, l8, l10, l12, m4, m7, m9, m11, m13, n7, p3, p9, p12, r5, r14, r17, t7, t10, u3, u13, u17, v5, v8, v11, v15 ?? n/a gnd a17, a19, b13, b16, b18, e12, e19, f13, f16, f18, g19, h18, j14, l14, m15, m17, m19, n14, n16, p15, p19 ?? n/a15 gnd_sense g12, n13 ? ? n/a 19 hit b2 low output bvsel 7 hreset d8 low input bvsel int d4 low input bvsel l1_tstclk g8 high input bvsel 9 l2_tstclk b3 high input bvsel 10 nc (no connect) a6, a14, a15, b14, b15, c14, c15, c16, c17, c18, c19, d14, d15, d16, d17, d18, d19, e14, e15, f14, f15, g14, g15, h15, h16, j15, j16, j17, j18, j19, k15, k16, k17, k18, k19, l15, l16, l17, l18, l19 ?? ?11 lssd_mode e8 low input bvsel 6, 12 mcp c9 low input bvsel ov dd b4, c2, c12, d5, f2, h3, j5, k2, l5, m3, n6, p2, p8, p11, r4, r13, r16, t6, t9, u2, u12, u16, v4, v7, v10, v14 ?? n/a ovdd_sense e18, g18 ? ? n/a 16 pll_cfg[0:4] b8, c8, c7, d7, a7 high input bvsel pmon_in d9 low input bvsel 13 pmon_out a9 low output bvsel table 12. pinout listing for the mpc7447a, 360 hcte package (continued) signal name pin number active i/o i/f select 1 notes
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 25 pinout listings qack g5 low input bvsel qreq p4 low output bvsel shd [0:1] e4, h5 low i/o bvsel 3 smi f9 low input bvsel sreset a2 low input bvsel sysclk a10 ? input bvsel ta k6 low input bvsel tben e1 high input bvsel tbst f11 low output bvsel tck c6 high input bvsel tdi b9 high input bvsel 6 tdo a4 high output bvsel tea l1 low input bvsel temp_anode n18 17 temp_cathode n19 17 test[0:3] a12, b6, b10, e10 ? input bvsel 12 test[4] d10 ? input bvsel 9 tms f1 high input bvsel 6 trst a5 low input bvsel 6, 14 ts l4 low i/o bvsel 3 tsiz[0:2] g6, f7, e7 high output bvsel tt[0:4] e5, e6, f6, e9, c5 high i/o bvsel wt d3 low output bvsel v dd h8, h10, h12, j7, j9, j11, j13, k8, k10, k12, k14, l7, l9, l11, l13, m8, m10, m12 ?? n/a v dd a13, a16, a18, b17, b19, c13, e13, e16, f12, f17, f19, g11, g16, h14, h17, h19, m14, m16, m18, n15, n17, p16, p18 ?? n/a15 table 12. pinout listing for the mpc7447a, 360 hcte package (continued) signal name pin number active i/o i/f select 1 notes
mpc7447a risc microprocessor hardware specifications, rev. 5 26 freescale semiconductor pinout listings vdd_sense g13, n12 ? ? n/a 18 notes: 1. ov dd supplies power to the processor bus, jtag, and all control signals; v dd supplies power to the processor core and the pll (after filtering to become av dd ). to program the i/o voltage, connect bvsel to either gnd (selects 1.8 v), or to hreset or ov dd (selects 2.5 v); see ta b l e 3 . if used, the pull-down resistor should be less than 250 .because these settings may change in future products, it is recommended bvsel be configured using resistor options, jumpers, or some other flexible means, with the capability to reconfigure the termination of this signal in the future if necessary. for actual recommended value of v in or supply voltages see ta b l e 4 . 2. unused address pins must be pulled down to gnd and corresponding address parity pins pulled up to ov dd . 3. these pins require weak pull-up resistors (for example, 4.7 k ) to maintain the control signals in the negated state after they have been actively negated and released by the mpc7447a and other bus masters. 4. this signal selects between mpx bus mode (asserted) and 60x bus mode (negated) and will be sampled at hreset going high. 5. this signal must be negated during reset, by pull-up resistor to ov dd or negation by ?hreset (inverse of hreset ), to ensure proper operation. 6. internal pull up on die. 7. ignored in 60x bus mode. 8. these signals must be pulled down to gnd if unused, or if the mpc7447a is in 60x bus mode. 9. these input signals are for factory use only and must be pulled down to gnd for normal machine operation. 10.this test signal is recommended to be tied to hreset ; however, other configurations will not adversely affect performance. 11.these signals are for factory use only and must be left unconnected for normal machine operation. some pins that were ncs on the mpc7447, mpc7445, and mpc7441 have now been defined for other purposes. 12.these input signals are for factory use only and must be pulled up to ov dd for normal machine operation. 13.this pin can externally cause a performance monitor event. counting of the event is enabled through software. 14.this signal must be asserted during reset, by pull down to gnd or assertion by hreset , to ensure proper operation. 15.these pins were ncs on the mpc7447, mpc7445, and mpc7441. they may be left unconnected for backward compatibility with these devices, but it is recommended they be connected in new designs to facilitate future products. see section 9.4, ?connection recommendations,? for more information. 16.these pins were ov dd pins on the mpc7447, mpc7445, and mpc7441. these pins are internally connected to ov dd and are intended to allow an external device to detect the i/o voltage level present inside the device package. if unused, they must be connected directly to ov dd or left unconnected. 17.these pins provide connectivity to the on-chip temperature diode that can be used to determine the die junction temperature of the processor. these pins may be left unterminated if unused. 18.these pins are internally connected to v dd and are intended to allow an external device to detect the processor core voltage level present inside the device package. if unused, they must be connected directly to v dd or left unconnected. 19.these pins are internally connected to gnd and are intended to allow an external device to detect the processor ground voltage level present inside the device package. if unused, they must be connected directly to gnd or left unconnected. table 12. pinout listing for the mpc7447a, 360 hcte package (continued) signal name pin number active i/o i/f select 1 notes
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 27 package description 8 package description the following sections provide the package parame ters and mechanical dimensions for the hcte package. 8.1 package parameters for the mpc7447a, 360 hcte bga the package parameters are as provided in the following list. the package type is 25 25 mm, 360-lead high coefficient of thermal expansion ceramic ball grid array (hcte). package outline 25 25 mm interconnects 360 (19 19 ball array ? 1) pitch 1.27 mm (50 mil) minimum module height 2.72 mm maximum module height 3.24 mm ball diameter 0.89 mm (35 mil) coefficient of thermal expansion 12.3 ppm/c
mpc7447a risc microprocessor hardware specifications, rev. 5 28 freescale semiconductor package description 8.2 mechanical dimensions for the mpc7447a, 360 hcte bga figure 13 provides the mechanical dimensions and bottom surface nomenclature for the mpc7447a, 360 hcte bga package. figure 13. mechanical dimensions and bottom surface nomenclature for the mpc7447a, 360 hcte bga package notes: 1. dimensioning and tolerancing per asme y14.5m, 1994 2. dimensions in millimeters. 3. top side a1 corner index is a metalized feature with various shapes. bottom side a1 corner is designated with a ball missing from the array. 0.2 c a 360x d 2x a1 corner e e 0.2 2x c b 12345678910111213141516 a b c d e f g h j k l m n p r t b 0.3 a 0.15 b a 0.15 a 171819 u w v millimeters dim min max a 2.72 3.24 a1 0.80 1.00 a2 1.10 1.30 a3 ? 0.6 b 0.82 0.93 d 25.00 bsc d1 ? 11.3 d2 8.0 ? d3 ? 6.5 d4 9.76 9.96 e 1.27 bsc e 25.00 bsc e1 ? 11.3 e2 8.0 ? e3 ? 6.5 e4 8.41 8.61 capacitor region 1 d3 e2 e1 a a1 a2 a3 e4 d4 e3 d1 d2 0.35 a
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 29 package description 8.3 package parameters for the mpc7447a, 360 hcte lga the package parameters are as provided in the following list. the package type is 25 25 mm, 360 high coefficient of thermal expansion ceramic land grid array (hcte). package outline 25 25 mm interconnects 360 (19 19 ball array ? 1) pitch 1.27 mm (50 mil) minimum module height 1.92 mm maximum module height 2.20 mm coefficient of thermal expansion 12.3 ppm/c
mpc7447a risc microprocessor hardware specifications, rev. 5 30 freescale semiconductor package description 8.4 mechanical dimensions for the mpc7447a, 360 hcte lga figure 14 provides the mechanical dimensions and bottom surface nomenclature for the mpc7447a, 360 hcte lga package. figure 14. mechanical dimensions and bottom surface nomenclature for the mpc7447a, 360 hcte lga package notes: 1. dimensioning and tolerancing per asme y14.5m, 1994 2. dimensions in millimeters. 3. top side a1 corner index is a metalized feature with various shapes. bottom side a1 corner is designated with a pad missing from the array. 0.2 c a 360x d 2x a1 corner e e 0.2 2x c b 12345678910111213141516 a b c d e f g h j k l m n p r t b 0.3 a 0.15 b a 0.15 a 171819 u w v millimeters dim min max a 1.92 2.20 a1 1.10 1.30 a2 ? 0.6 b 0.82 0.93 d 25.00 bsc d1 ? 11.3 d2 8.0 ? d3 ? 6.5 d4 9.76 9.96 e 1.27 bsc e 25.00 bsc e1 ? 11.3 e2 8.0 ? e3 ? 6.5 e4 8.41 8.61 capacitor region 1 d3 e2 e1 a a1 a2 e4 d4 e3 d1 d2 0.35 a
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 31 package description 8.5 package parameters for the mpc7447a, 360 hcte rohs-compliant bga the package parameters are as provided in the following list. the package type is 25 25 mm, 360 lead-free high coefficient of thermal expansion ceramic ball grid array (hcte). package outline 25 25 mm interconnects 360 (19 19 ball array ? 1) pitch 1.27 mm (50 mil) minimum module height 2.32 mm maximum module height 2.80 mm ball diameter 0.75 mm (30 mil) coefficient of thermal expansion 12.3 ppm/c
mpc7447a risc microprocessor hardware specifications, rev. 5 32 freescale semiconductor package description 8.6 mechanical dimensions for the mpc7447a, 360 hcte rohs-compliant bga figure 15 provides the mechanical dimensions and bottom surface nomenclature for the mpc7447a, 360 hcte bga package. figure 15. mechanical dimensions and bottom surface nomenclature for the mpc7447a, 360 hcte rohs-compliant bga package notes: 1. dimensioning and tolerancing per asme y14.5m, 1994 2. dimensions in millimeters. 3. top side a1 corner index is a metalized feature with various shapes. bottom side a1 corner is designated with a ball missing from the array. 4. dimension a1 represents the collapsed sphere diameter. 0.2 c a 360x d 2x a1 corner e e 0.2 2x c b 12345678910111213141516 a b c d e f g h j k l m n p r t b 0.3 a 0.15 b a 0.15 a 171819 u w v millimeters dim min max a 2.32 2.80 a1 4 0.40 0.60 a2 1.10 1.30 a3 ? 0.6 b 0.60 0.90 d 25.00 bsc d1 ? 11.3 d2 8.0 ? d3 ? 6.5 d4 9.76 9.96 e 1.27 bsc e 25.00 bsc e1 ? 11.3 e2 8.0 ? e3 ? 6.5 e4 8.41 8.61 capacitor region 1 d3 e2 e1 a a1 a2 a3 e4 d4 e3 d1 d2 0.35 a
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 33 package description 8.7 substrate capacitors for the mpc7447a, 360 hcte figure 16 shows the connectivity of the substrate capacitor pads for the mpc7447a, 360 hcte. all capacitors are 100 nf. figure 16. substrate bypass capacitors for the mpc7447a, 360 hcte capacitor pad number ?1 ?2 c1 gnd v dd c2 gnd v dd c3 gnd ov dd c4 gnd v dd c5 gnd v dd c6 gnd v dd c7 gnd v dd c8 gnd v dd c9 gnd v dd c10 gnd v dd c11 gnd v dd c12 gnd v dd c13 gnd v dd c14 gnd v dd c15 gnd v dd c16 gnd ov dd c17 gnd v dd c18 gnd ov dd c19 gnd ov dd c20 gnd v dd c21 gnd ov dd c22 gnd v dd c23 gnd ov dd c24 gnd v dd 1 c1-2 c1-1 c2-1 c3-1 c4-1 c5-1 c6-1 c6-2 c5-2 c4-2 c3-2 c2-2 c18-1 c18-2 c17-2 c16-2 c15-2 c14-2 c13-2 c13-1 c14-1 c15-1 c16-1 c17-1 c12-1 c12-2 c11-2 c10-2 c9-2 c8-2 c7-2 c7-1 c8-1 c9-1 c10-1 c11-1 c19-2 c19-1 c20-1 c21-1 c22-1 c23-1 c24-1 c24-2 c23-2 c22-2 c21-2 c20-2 a1 corner
mpc7447a risc microprocessor hardware specifications, rev. 5 34 freescale semiconductor system design information 9 system design information this section provides system and thermal design recommendations for successful application of the mpc7447a. 9.1 clocks 9.1.1 pll configuration the mpc7447a pll is configured by the pll_cfg[0:4] signals. for a given sysclk (bus) frequency, the pll configuration signals set the internal cpu and vco frequency of operation. the pll configuration for the mpc7447a is shown in table 13 for a set of example frequencies. in this example, shaded cells represent settings that, for a given sysclk frequency, result in co re and/or vco frequencies that do not comply with the 1400 mhz column in table 8 . when enabled, dynamic frequency switching (dfs) also affects the core frequency by halving the bus-to-core multiplier; see section 9.8.5, ?dynamic frequency switching (dfs) ,? for more information. note that when dfs is enabled the resulting core frequency must meet the minimum core frequency requirements described in table 8 . table 13. mpc7447a microprocessor pll configuration example for 1420-mhz parts pll_ cfg[0:4] example bus-to-core frequency in mhz (vco frequency in mhz) bus-to- core multiplier core-to- vco multiplier bus (sysclk) frequency 33 mhz 50 mhz 67 mhz 75 mhz 83 mhz 100 mhz 133 mhz 167 mhz 01000 2x 1 2x 10000 3x 1 2x 10100 4x 1 2x 668 (1333) 10110 5x 2x 665 (1333) 835 (1670) 10010 5.5x 2x 732 (1466) 919 (1837) 11010 6x 2x 600 (1200) 798 (1600) 1002 (2004) 01010 6.5x 2x 650 (1300) 865 (1730) 1086 (2171) 00100 7x 2x 700 (1400) 931 (1862) 1169 (2338) 00010 7.5x 2x 623 (1245) 750 (1500) 998 (2000) 1253 (2505) 11000 8x 2x 600 (1200) 664 (1328) 800 (1600) 1064 (2128) 1336 (2672)
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 35 system design information 01100 8.5x 2x 638 (1276) 706 (1412) 850 (1700) 1131 (2261) 1420 (2833) 01111 9x 2x 603 (1200) 675 (1350) 747 (1494) 900 (1800) 1197 (2394) 01110 9.5x 2x 637 (1266) 713 (1524) 789 (1578) 950 (1900) 1264 (2528) 10101 10x 2x 670 (1333) 750 (1500) 830 (1660) 1000 (2000) 1330 (2667) 10001 10.5x 2x 704 (1400) 788 (1876) 872 (1744) 1050 (2100) 1397 (2793) 10011 11x 2x 737 (1466) 825 (1650) 913 (1826) 1100 (2200) 00000 11.5x 2x 771 (532) 863 (1726) 955 (1910) 1150 (2300) 10111 12x 2x 600 (1200) 804 (1600) 900 (1800) 996 (1992) 1200 (2400) 11111 12.5x 2x 625 (1200) 838 (1666) 938 (1876) 1038 (2076) 1250 (2500) 01011 13x 2x 650 (1300) 871 (1730) 975 (1950) 1079 (2158) 1300 (2600) 11100 13.5x 2x 675 (1350) 905 (1800) 1013 (2026) 1121 (2242) 1350 (2700) 11001 14x 2x 700 (1400) 938 (1866) 1050 (2100) 1162 (2324) 1400 (2800) 00011 15x 2x 750 (1500) 1005 (2000) 1125 (2250) 1245 (2490) 11011 16x 2x 800 (1600) 1072 (2132) 1200 (2400) 1328 (2656) 00001 17x 2x 850 (1900) 1139 (2264) 1275 (2550) 1411 (2822) 00101 18x 2x 900 (1800) 1206 (2400) 1350 (2700) 00111 20x 2x 660 (1334) 1000 (2000) 1340 (2664) 01001 21x 2x 693 (1400) 1050 (2100) 1407 (2797) table 13. mpc7447a microprocessor pll configuration example for 1420-mhz parts (continued) pll_ cfg[0:4] example bus-to-core frequency in mhz (vco frequency in mhz) bus-to- core multiplier core-to- vco multiplier bus (sysclk) frequency 33 mhz 50 mhz 67 mhz 75 mhz 83 mhz 100 mhz 133 mhz 167 mhz
mpc7447a risc microprocessor hardware specifications, rev. 5 36 freescale semiconductor system design information 9.1.2 system bus clock (sysclk) and spread spectrum sources spread spectrum clock sources are an increasingly popular way to control electromagnetic interference emissions (emi) by spreading the emitted noise to a wider spectrum and reducing the peak noise magnitude in order to meet industr y and government requirements. thes e clock sources intentionally add long-term jitter in order to diffuse the emi spec tral content. the jitter specification given in table 8 considers short-term (cycle-to-cycle) jitter only and the clock generator?s cycle-to-cycle output jitter should meet the mpc7457 input cycle-to-cycle jitter requirement. frequency modulation and spread are separate concerns, and the mpc7457 is compatible with spread spectrum sources if the recommendations listed in table 14 are observed. 01101 24x 2x 792 (1600) 1200 (2400) 11101 28x 2x 924 (1866) 1400 (2800) 00110 pll bypass pll off, sysclk clocks core circuitry directly 11110 pll off pll off, no core clocking occurs notes: 1. ratios below 5:1 require an aack delay see mpc7450 risc microprocessor family reference manual , section 9.3.3, ?mpx bus address tenure termination.? 2. the sample bus-to-core frequencies shown are for reference only. some pll configurations may select bus, core, or vco frequencies that are not useful, not supported, or not tested for by the mpc7455; see section 5.2.1, ?clock ac specifications,? for valid sysclk, core, and vco frequencies. 3. in pll-bypass mode, the sysclk input signal clocks the internal processor directly and the pll is disabled. however, the bus interface unit requires a 2x clock to function. therefore, an additional signal, ext_qual, must be driven at one-half the frequency of sysclk and offset in phase to meet the required input setup t ivkh and hold time t ixkh (see table 9 ). the result will be that the processor bus frequency will be one-half sysclk while the internal processor is clocked at sysclk frequency. this mode is intended for factory use and emulator tool use only. note : the ac timing specifications given in this document do not apply in pll-bypass mode. 4. in pll-off mode, no clocking occurs inside the mpc7447a regardless of the sysclk input. table 13. mpc7447a microprocessor pll configuration example for 1420-mhz parts (continued) pll_ cfg[0:4] example bus-to-core frequency in mhz (vco frequency in mhz) bus-to- core multiplier core-to- vco multiplier bus (sysclk) frequency 33 mhz 50 mhz 67 mhz 75 mhz 83 mhz 100 mhz 133 mhz 167 mhz
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 37 system design information it is imperative to note that the processor?s minimum and maximum sysclk, core, and vco frequencies must not be exceeded regardless of the type of clock source. therefore, systems in which the processor is operated at its maximum rated core or bus freque ncy should avoid violating the stated limits by using down-spreading only. 9.2 pll power supply filtering the av dd power signal is provided on the mpc7447a to pr ovide power to the clock generation pll. to ensure stability of the internal clock, the power supplied to the av dd input signal should be filtered of any noise in the 500-khz to 10-mhz resonant frequency range of the pll. a circuit similar to the one shown in figure 17 using surface-mount capacitors with minimum effective series inductance (esl) is recommended. the circuit should be placed as close as possible to the av dd pin to minimize noise coupled from nearby circuits. it is often possible to route directly from the capacitors to the av dd pin, which is on the periphery of the 360 hcte footprint. figure 17. pll power supply filter circuit 9.3 decoupling recommendations due to the mpc7447a dynamic power management f eature, large address and data buses, and high operating frequencies, the mpc7447a ca n generate transient power surges and high frequency noise in its power supply, especially while driving large capacitive loads. this noise must be prevented from reaching other components in the mpc7447a system, and the mp c7447a itself requires a clean, tightly regulated source of power. therefore, it is recommended that the system designer use sufficient decoupling capacitors, typically one capacitor for every 1?2 v dd pins, and a similar or lesser number for the ov dd pins, placed as close as possible to the power pins of the mpc7447a. it is also recommended that these decoupling capacitors receive their power from separate v dd , ov dd , and gnd power planes in the pcb, utilizing short traces to minimize inductance. table 14. spread specturm clock source recommendations at recommended operating conditions. see ta b l e 4 . parameter min max unit notes frequency modulation ? 50 khz 1 frequency spread ? 1.0 % 1, 2 notes: 1. guaranteed by design. 2. sysclk frequencies resulting from frequency spreading, and the resulting core and vco frequencies, must meet the minimum and maximum specifications given in table 8 . v dd av dd 10 2.2 f 2.2 f gnd low esl surface mount capacitors
mpc7447a risc microprocessor hardware specifications, rev. 5 38 freescale semiconductor system design information these capacitors should have a value of 0.01 or 0.1 f. only ceramic surface mount technology (smt) capacitors should be used to minimize lead inductan ce. orientations where connections are made along the length of the part, such as 0204, are preferable but not mandatory. consistent with the recommendations of dr. howard johnson in high speed digital design: a handbook of black magic (prentice hall, 1993) and contrary to previous recommendations for decoupling freescale microprocessors, multiple small capacitors of equal value are recommended over using multiple values of capacitance. in addition, it is recommended that there be several bulk storage capacitors distributed around the pcb, feeding the v dd and ov dd planes, to enable quick recharging of the smaller chip capacitors. these bulk capacitors should have a low equivalent series resist ance (esr) rating to ensure the quick response time necessary. they should also be connected to the power and ground planes through two vias to minimize inductance. suggested bulk capacitors are: 100?330 f (avx tps tantalum or sanyo oscon). 9.4 connection recommendations to ensure reliable operation, it is highly recommende d to connect unused inputs to an appropriate signal level. unless otherwise noted, unused active-low inputs should be tied to ov dd , and unused active-high inputs should be connected to gnd. all nc (no connect) signals must remain unconnected. power and ground connections must be made to all external v dd , ov dd , and gnd pins in the mpc7447a. for backward compatibility with the mpc7447, mpc7445, and mp7441, or for migrating a system originally designed for one of these devi ces to the mpc7447a, the new power and ground signals (formerly nc, see table 12 ) may be left unconnected. there is no performance degradation associated with leaving these pins unconnected. however, futu re devices may require these additional power and ground signals to be connected to achieve maximum performance, and it is recommended that new designs include the additional connections to facilitate future upgrades. see also section 7, ?pinout listings,? for additional information. 9.5 output buffer dc impedance the mpc7447a processor bus drivers are characterized over process, voltage, and temperature. to measure z 0 , an external resistor is connected from the chip pad to ov dd or gnd. the value of each resistor is varied until the pad voltage is ov dd /2. figure 18 shows the driver impedance measurement. the output impedance is the average of two components?the resistances of the pull-up and pull-down devices. when data is held low, sw2 is closed (sw1 is open), and r n is trimmed until the voltage at the pad equals ov dd /2. r n then becomes the resistance of the pull-down devices. when data is held high, sw1 is closed (sw2 is open), and r p is trimmed until the voltage at the pad equals ov dd /2. r p then becomes the resistance of the pull-up devices. r p and r n are designed to be close to each other in value. then, z 0 = (r p + r n )/2.
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 39 system design information figure 18. driver impedance measurement table 15 summarizes the signal impedance results. the impedance increases with junction temperature and is relatively unaffected by bus voltage. 9.6 pull-up/pull-down resistor requirements the mpc7447a requires high-resistive (weak: 4.7 k ) pull-up resistors on several control pins of the bus interface to maintain the control signals in the negated state after they have been actively negated and released by the mpc7447a or other bus masters. these pins are: ts , artry , shdo , and shd1 . some pins designated as being factory test pins must be pulled up to ov dd or down to gnd to ensure proper device operation. the pins that must be pulled up to ov dd are: lssd_mode and test[0:3]; the pins that must be pulled down to gnd are: l1_tstclk and test[4]. the ckstp_in signal should likewise be pulled up through a pull-up resistor (weak or stronger: 4.7 k ?1 k ) to prevent erroneous assertions of this signal. in addition, the mpc7447a has one open-drain style output that requires a pull-up resistor (weak or stronger: 4.7 k ?1 k ) if it is used by the system. this pin is ckstp_out . if pull-down resistors are used to configure bvsel, the resistors should be less than 250 (see table 12 ). because pll_cfg[0:4] must remain stable du ring normal operation, strong pull-up and pull-down resistors (1 k or less) are recommended to configure these signals in order to protect against erroneous switching due to ground bounce, power supply noise or noise coupling. table 15. impedance characteristics v dd = 1.5 v, ov dd = 1.8 v 5%, t j = 5?85c impedance processor bus unit z 0 typical 33?42 maximum 31?51 ov dd ognd r p r n pad data sw1 sw2
mpc7447a risc microprocessor hardware specifications, rev. 5 40 freescale semiconductor system design information during inactive periods on the bus, the address and transf er attributes may not be driven by any master and may, therefore, float in the high-impedance state for relatively long periods of time. because the mpc7447a must continually monitor these signals fo r snooping, this float condition may cause excessive power draw by the input receivers on the mpc7447a or by other receivers in the system. these signals can be pulled up through weak (10-k ) pull-up resistors by the system, address bus driven mode enabled (see the mpc7450 risc microprocessor family users? manual for more information on this mode), or they may be otherwise driven by the system during inactive periods of the bus to avoid this additional power draw. preliminary studies have shown the a dditional power draw by the mpc7447a input receivers to be negligible and, in any event, none of these measures are necessary for proper device operation. the snooped address and transfer attribute inputs are: a[0:35], ap[0:4], tt[0:4], ci , wt , and gbl . if address or data parity is not used by the system, and respective parity checking is disabled through hid1, the input receivers for those pins are disabled and do not require pull-up resistors, and may be left unconnected by the system. if extended addressing is not used (hid0[xaen] = 0), a[0:3] are unused and must be pulled low to gnd through weak pull-down resi stors; additionally, if address parity checking is enabled (hid1[eba] = 1) and extended addressing is not used, ap[0] must be pulled up to ov dd through a weak pull-up resistor. if the mpc7447a is in 60x bus mode, dti[0:3] must be pulled low to gnd through weak pull-down resistors. the data bus input receivers are normally turned off when no read operation is in progress and, therefore, do not require pull-up resistors on the bus. other data bus receivers in the system, however, may require pull-ups, or that those signals be otherwise driven by the system during inactive periods. the data bus signals are: d[0:63] and dp[0:7]. 9.7 jtag configuration signals boundary-scan testing is enabled through the jtag interface signals. the trst signal is optional in the ieee 1149.1 specification but is provided on all proc essors that implement the powerpc architecture. while it is possible to force the tap controller to the reset state using only the tck and tms signals, more reliable power-on reset performance will be obtained if the trst signal is asserted during power-on reset. because the jtag interface is also used for accessing the common on-chip processor (cop) function, simply tying trst to hreset is not practical. the cop function of these processors allows a remote computer system (typically a pc with dedicated hardware and debugging software) to access and control the internal operations of the processor. the cop interface connects primarily through the jtag port of the processor, with some additional status monitoring signals. the cop port requires the ability to independently assert hreset or trst in order to fully control the processor. if the target system ha s independent reset sources, such as voltage monitors, watchdog timers, power supply failures, or push-button switches, then the cop reset signals must be merged into these signals with logic. the arrangement shown in figure 19 allows the cop port to independently assert hreset or trst , while ensuring that the target can drive hreset as well. if the jtag interface and cop header will not be used, trst should be tied to hreset through a 0- isolation resistor so that it is asserted when the system reset signal (hreset ) is asserted, ensuring that the jtag scan chain is initialized during power-on. although freescale recommends that the cop header be designed into the system as shown in figure 19 , if this is not possible, the isolation resistor will allow future access to trst in the case where a jtag interface may need to be wired onto the system in debug situations.
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 41 system design information the cop header shown in figure 19 adds many benefits?breakpoints, wa tchpoints, register and memory examination/modification, and othe r standard debugger features are possible through this interface?and can be as inexpensive as an unpopulated footpr int for a header to be added when needed. the cop interface has a standard header for connection to the target system, based on the 0.025" square-post, 0.100" centered header assembly (often called a berg header). the connector typically has pin 14 removed as a connector key. there is no standardized way to number the cop header shown in figure 19 ; consequently, many different pin numbers have been observed from emulator vendors. some are numbered top-to-bottom then left-to-right, while others use left-to-right then top- to-bottom, while still others number the pins counter clockwise from pin 1 (as with an ic). regardless of the numbering, the signal placement recommended in figure 19 is common to all known emulators. the qack signal shown in figure 19 is usually connected to the pci bridge chip in a system and is an input to the mpc7447a informing it that it can go into the quiescent state. under normal operation this occurs during a low-power mode selection. in order for cop to work, the mpc7447a must see this signal asserted (pulled down). while shown on the cop header, not all emulator products drive this signal. if the product does not, a pull-down resistor can be populated to assert this signal. additionally, some emulator products implement open-drain type outputs and can only drive qack asserted; for these tools, a pull-up resistor can be implemented to ensure this signal is negated when it is not being driven by the tool. note that the pull-up and pull-down resistors on the qack signal are mutually exclusive and it is never necessary to populate both in a system. to preserve correct power-down operation, qack should be merged through logic so that it also can be driven by the pci bridge.
mpc7447a risc microprocessor hardware specifications, rev. 5 42 freescale semiconductor system design information figure 19. jtag interface connection hreset hreset 6 from target board sources hreset 13 sreset sreset sreset nc nc 11 vdd_sense 6 5 1 15 2 k 10 k 10 k 10 k ov dd ov dd ov dd ov dd chkstp_in chkstp_in 8 tms tdo tdi tck tms tdo tdi tck 9 1 3 4 trst 7 16 2 10 12 (if any) cop header 14 2 key qack ov dd ov dd 10 k ov dd 10 k ov dd 10 k 10 k qack qack chkstp_out chkstp_out 3 13 9 5 1 6 10 2 15 11 7 16 12 8 4 key no pin cop connector physical pin out 10 k 4 ov dd 1 2 k 3 0 5 notes: 1. run/stop , normally found on pin 5 of the cop header, is not implemented on the mpc7447a. connect pin 5 of the cop header to ov dd with a 10-k pull-up resistor. 2. key location; pin 14 is not physically present on the cop header. 3. component not populated. populate only if debug tool does not drive qack . 4. populate only if debug tool uses an open-drain type output and does not actively negate qack . 5. if the jtag interface is implemented, connect hreset from the target source to trst from the cop header though an and gate to trst of the part. if the jtag interface is not implemented, connect hreset from the target source to trst of the part through a 0- isolation resistor. 6. the cop port and target board should be able to independently assert hreset and trst to the processor in order to fully control the processor as shown above. trst 6 10 k ov dd
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 43 system design information 9.8 thermal management information this section provides thermal mana gement information for the high co efficient of thermal expansion (hcte) package for air-cooled applications. proper th ermal control design is pr imarily dependent on the system-level design?the heat sink, airflow, and th ermal interface material. the mpc7447a implements several features designed to assist with thermal management, including dfs and the temperature diode. dfs reduces the power consumption of the device by reducing the core frequency; see section 9.8.5.1, ?power consumption with dfs enabled,? for specific information regarding power reduction and dfs. the temperature diode allows an external device to monitor the die temperature in order to detect excessive temperature conditions and alert the system; see section 9.8.4, ?temperature diode,? for more information. to reduce the die-junction temperature, heat sinks may be attached to the package by several methods?spring clip to holes in the printed-circu it board or package, and mounting clip and screw assembly (see figure 20 and figure 21 ); however, due to the potential large mass of the heat sink, attachment through the printed-circuit board is suggest ed. in any implementation of a heat sink solution, the force on the die should not exceed ten pounds. figure 20. bga package exploded cross-sectional view with several heat sink options note a clip on heat sink is not recommended for lga because there may not be adequate clearance between the devi ce and the circuit board. a through-hole solution is recommended, as shown in figure 21 below. thermal heat sink hcte bga package heat sink clip printed-circuit board interface material
mpc7447a risc microprocessor hardware specifications, rev. 5 44 freescale semiconductor system design information figure 21. lga package exploded cross-sectional view with several heat sink options the board designer can choose between several types of heat sinks to place on the mpc7447a. there are several commercially-available heat sinks for the mpc7447a provided by the following vendors: aavid thermalloy 603-224-9988 80 commercial st. concord, nh 03301 internet: www.aavidthermalloy.com alpha novatech 408-567-8082 473 sapena ct. #12 santa clara, ca 95054 internet: www.alphanovatech.com calgreg thermal solutions 401-732-8100 60 alhambra road warwick, ri 02886 internet: www.calgregthermalsolutions.com international electronic research corporation (ierc) 818-842-7277 413 north moss st. burbank, ca 91502 internet: www.ctscorp.com tyco electronics 717-564-0100 chip coolers? p.o. box 3608 harrisburg, pa 17105-3608 internet: www.chipcoolers.com wakefield engineering 603-635-2800 33 bridge st. pelham, nh 03076 internet: www.wakefield.com ultimately, the final selection of an appropriate heat sink depends on many factors, such as thermal performance at a given air veloc ity, spatial volume, mass, attachme nt method, assembly, and cost. thermal heat sink hcte lga package heat sink clip printed-circuit board interface material
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 45 system design information 9.8.1 internal package conduction resistance for the exposed-die packaging technology described in table 5 , the intrinsic conduction thermal resistance paths are as follows: ? the die junction-to-case thermal resistance (the case is actually the top of the exposed silicon die) ? the die junction-to-board thermal resistance figure 22 depicts the primary heat transfer path for a pa ckage with an attached heat sink mounted to a printed-circuit board. figure 22. c4 package with heat sink mounted to a printed-circuit board heat generated on the active side of the chip is conducted through the silicon, through the heat sink attach material (or thermal interface material), and finally to the heat sink where it is removed by forced-air convection. because the silicon thermal resistance is quite small, the temperature drop in the silicon may be neglected for a first-order analysis. thus the thermal inte rface material and the heat sink conduction/convective thermal resistances are the dominant terms. 9.8.2 thermal interface materials a thermal interface material is recommended at the package lid-to-heat sink interface to minimize the thermal contact resistance. for those applications where the heat sink is attached by spring clip mechanism, figure 23 shows the thermal performance of three thin-sheet thermal interface materials (silicone, graphite/oil, fluoroether oil), a bare joint, a nd a joint with thermal grease as a function of contact pressure. as shown, the performance of these thermal interface materials improves with increasing contact pressure. the use of thermal grease significantly reduces the interface thermal resistance. that is, the bare joint results in a thermal resistance approximately seven times greater than the thermal grease joint. often, heat sinks are attached to the package by means of a spring clip to holes in the printed-circuit board (see figure 20 ). therefore, synthetic grease offers the best thermal performance, considering the low interface pressure, and is recommended due to the high power dissipation of the mpc7447a. of course, external resistance external resistance internal resistance radiation convection radiation convection heat sink printed-circuit board thermal interface material package/leads die junction die/package (note the internal versus external package resistance.)
mpc7447a risc microprocessor hardware specifications, rev. 5 46 freescale semiconductor system design information the selection of any thermal interface material depends on many factors?thermal performance requirements, manufacturability, service temperature, dielectric properties, cost, and so on. figure 23. thermal performance of select thermal interface material the board designer can choose between several types of thermal interface. heat sink adhesive materials should be selected based on high conductivity and mechan ical strength to meet equipment shock/vibration requirements. there are several commercially available thermal interfaces and adhesive materials provided by the following vendors: the bergquist company 800-347-4572 18930 west 78 th st. chanhassen, mn 55317 internet: www.bergquistcompany.com chomerics, inc. 781-935-4850 77 dragon ct. woburn, ma 01801 internet: www.chomerics.com dow-corning corporation 800-248-2481 dow-corning electronic materials 2200 w. salzburg rd. midland, mi 48686-0997 internet: www.dowcorning.com 0 0.5 1 1.5 2 0 1020304050607080 silicone sheet (0.006 in.) bare joint fluoroether oil sheet (0.007 in.) graphite/oil sheet (0.005 in.) synthetic grease contact pressure (psi) specific thermal resistance (k-in. 2 /w)
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 47 system design information shin-etsu microsi, inc. 888-642-7674 10028 s. 51st st. phoenix, az 85044 internet: www.microsi.com thermagon inc. 888-246-9050 4707 detroit ave. cleveland, oh 44102 internet: www.thermagon.com the following section provides a heat sink selection ex ample using one of the commercially available heat sinks. 9.8.3 heat sink selection example for preliminary heat sink sizing, the die-junction temperature can be expressed as follows: t j = t i + t r + (r jc + r int + r sa ) p d where: t j is the die-junction temperature t i is the inlet cabinet ambient temperature t r is the air temperature rise within the computer cabinet r jc is the junction-to-case thermal resistance r int is the adhesive or interface material thermal resistance r sa is the heat sink base-to-ambient thermal resistance p d is the power dissipated by the device during operation, the die-junction temperatures (t j ) should be maintained less than the value specified in table 4 . the temperature of air cooling the component gr eatly depends on the ambient inlet air temperature and the air temperature rise within the electronic cabinet. an electronic cabinet inlet-air temperature (t i ) may range from 30 to 40c. the air temperature rise within a cabinet (t r ) may be in the range of 5 to 10c. the thermal resistance of the thermal interface material (r int ) is typically about 1.5c/w. for example, assuming a t i of 30c, a t r of 5c, an hcte package r jc = 0.1, and a typical power consumption (p d ) of 18.7 w, the following expression for t j is obtained: die-junction temperature: t j = 30c + 5c + (0.1c/w + 1.5c/w + r sa ) 18.7 w for this example, a r sa value of 2.1c/w or less is required to maintain the die junction temperature below the maximum value of table 4 . though the die-junction-to-ambient and the heat-si nk-to-ambient thermal resistances are a common figure-of-merit used for comparing the thermal performance of various microelectronic packaging technologies, one should exercise cauti on when only using this metric in determining thermal management because no single parameter can adequately describe three-dimensional heat flow. the final die-junction operating temperature is not only a function of th e component-level thermal resistance, but the system-level design and its operating conditions. in addition to the component's power consumption, a number of factors affect the final operating die-j unction temperature?airflow, board population (local
mpc7447a risc microprocessor hardware specifications, rev. 5 48 freescale semiconductor system design information heat flux of adjacent components), h eat sink efficiency, heat sink attach , heat sink placement, next-level interconnect technology, system air temperature rise, altitude, and so on. due to the complexity and variety of system-level boundary conditions for today's microelectronic equipment, the combined effects of the heat transf er mechanisms (radiation, convection, and conduction) may vary widely. for these reasons, we recommend using conjugate heat transfer models for the board, as well as system-level designs. for system thermal modeling, the mpc7447a thermal model is shown in figure 24 . four volumes represent this device. two of the volumes, solder ba ll-air and substrate, are modeled using the package outline size of the package. the other two, die and bump-underfill, have the same size as the die. the silicon die should be modeled 8.5 9.9 0.7 mm 3 with the heat source applied as a uniform source at the bottom of the volume. the bump and underfill layer is modeled as 8.5 9.9 0.07 mm 3 (or as a collapsed volume) with orthotropic material properties: 0.6 w/(m ? k) in the xy-plane and 1.9 w/(m ? k) in the direction of the z-axis. the substrate volume is 25 25 1.2 mm 3 , and has 8.1 w/(m ? k) isotropic conductivity in the xy-plane and 4 w/(m ? k) in the dire ction of the z-axis. the solder ball and air layer are modeled with the same horizontal dimensions as th e substrate and are 0.6 mm thick. they can also be modeled as a collapsed volume using orthotropic ma terial properties: 0.034 w/(m ? k) in the xy-plane direction and 3.8 w/(m ? k) in the direction of the z-axis. figure 24. recommended thermal model of mpc7447a bump and underfill die substrate solder and air die substrate side view of model (not to scale) top view of model (not to scale) x y z conductivity value unit bump and underfill (8.5 9.9 0.07 mm 3 ) k x 0.6 w/(m ? k) k y 0.6 k z 1.9 substrate (25 25 1.2 mm 3 ) k x 8.1 k y 8.1 k z 4.0 solder ball and air (25 25 0.6 mm 3 ) k x 0.034 k y 0.034 k z 3.8
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 49 system design information 9.8.4 temperature diode the mpc7447a has a temperature diode on the microprocessor that can be used in conjunction with other system temperature monitoring devices (such as analog devices, adt7461?). these devices use the negative temperature coefficient of a diode operated at a constant current to determine the temperature of the microprocessor and its environment. for proper operation, the monitoring device used should auto-calibrate the device by canceling out the v be variation of each mpc7447a?s internal diode. the following are the specifications of the mpc7447a on-board temperature diode: 0.40 v mpc7447a risc microprocessor hardware specifications, rev. 5 50 freescale semiconductor system design information the ratio of i h to i l is usually selected to be 10:1. the above simplifies to the following: solving for t, the equation becomes: 9.8.5 dynamic frequency switching (dfs) the new dfs feature in the mpc7447a adds the ability to divide the processor-to-system bus ratio by two during normal functional operation by setting the hid1[dfs2 ] bit. the frequency change occurs in 1 clock cycle, and no idle waiting period is required to switc h between modes. additional information regarding dfs can be found in the mpc7450 risc microprocessor family reference manual. 9.8.5.1 power consumption with dfs enabled power consumption with dfs enabled can be approximated using the following formula: where: p dfs = power consumption with dfs enabled f dfs = core frequency with dfs enabled f = core frequency prior to enabling dfs p = power consumption prior to enabling dfs (see table 7 ) p ds = deep sleep mode power consumption (see table 7 ) the above is an approximation only. power consumption with dfs enab led is not tested or guaranteed. 9.8.5.2 bus-to-core multiplier constraints with dfs dfs is not available for all bus-to-core multipliers as configured by pll_cfg[0:4] during hard reset. specifically, because the mpc7447a does not support quarter clock ratios or the 1x multiplier, the dfs feature is limited to integer pll multipliers of 4x and higher. the complete listing is shown in table 16 . table 16. valid divide ratio configurations bus-to-core multiplier configured by pll_cfg[0:4] (see table 13 ) bus-to-core multiplier with hid1[dfs1] = 1 ( 2) 2x n/a 3x n/a v h ? v l = 1.986 10 -4 nt nt = v h ? v l __________ 1.986 10 -4 p dfs = (p? p ds ) + p ds f dfs ___ f
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 51 system design information 9.8.5.3 minimum core frequency requirements with dfs in many systems, enabling dfs can result in very low processor core frequencies. however, care must be taken to ensure that the resulting processor core frequency is within the limits specified in table 8 . proper operation of the device is not guaranteed at co re frequencies below the specified minimum f core . 4x 2x 5x 2.5x 5.5x n/a 6x 3x 6.5x n/a 7x 3.5x 7.5x n/a 8x 4x 8.5x n/a 9x 4.5x 9.5x n/a 10x 5x 10.5x n/a 11x 5.5x 11.5x n/a 12x 6x 12.5x n/a 13x 6.5x 13.5x n/a 14x 7x 15x 7.5x 16x 8x 17x 8.5x 18x 9x 20x 10x 21x 10.5x 24x 12x 28x 14x table 16. valid divide ratio configurations (continued) bus-to-core multiplier configured by pll_cfg[0:4] (see table 13 ) bus-to-core multiplier with hid1[dfs1] = 1 ( 2)
mpc7447a risc microprocessor hardware specifications, rev. 5 52 freescale semiconductor document revision history 10 document revision history table 17 provides a revision history for this hardware specification. 11 ordering information ordering information for the parts fully covered by this specification document is provided in section 11.1, ?part numbers fully addressed by this document .? note that the individual part numbers correspond to a maximum processor core frequency. fo r available frequencies, contact a local freescale sales office. in addition to the processor frequency, th e part numbering scheme also includes an application modifier that may specify special application conditions. each part number also contains a revision level code that refers to the die mask revision number. section 11.2, ?part numbers not fully addressed by this document ,? lists the part numbers that do not fully conform to the specifications of this document. these special part numbers require an additional doc ument called a hardware specification addendum. table 17. document revision history revision number date substantive changes 5 01/30/2005 corrected rohs bga sphere diameter dimensions 4 09/23/2005 added rohs bga case outlines and part numbers. removed note references for ci and wt in table 12 3 08/23/2005 added ? section 9.1.2, ?system bus clock (sysclk) and spread spectrum sources ? section 9.8, ?thermal management information ?: added vendor to list section 9.8.3, ?heat sink selection example ?: correct silicon die and underfil/bump model dimensions 2 02/16/2005 changed die size table 8: modified jitter specifications to conform to jedec standards, changed jitter specification to cycle-to-cycle jitter (instead of long- and short-term jitter); changed jitter bandwidth recommendations. added information for lga package. 1 ? added t khtsv , t kharv , t khtsx , and t kharx to ta b l e 9 ; these were previously grouped with t khov and t khox . note: documentation change only; the values for the output valid and output hold ac timing specifications remain unchanged for ts , artry , and shd [0:1]. added derating section with table; added 1000 mhz speed bin 0.1 ? retitled ta b l e 1 9 to include document order information for mc7447annnnnx series hardware specification addendum. 0 ? initial revision.
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 53 ordering information 11.1 part numbers fully addressed by this document table 18 provides the freescale part numbering nomenclature for the mpc7447a. 11.2 part numbers not fully addressed by this document parts with application modifiers or revision levels not fully addressed in this specification document are described in separate hardware sp ecification addenda which supplement and supersede this document. as such parts are released, these specifications will be listed in this section. table 18. part numbering nomenclature mc 7447a xx nnnn l x product code part identifier package processor frequency application modifier revision level mc 7447a hx = hcte bga vs = rohs lga vu = rohs bga 1000 1267 1333 1420 l: 1.3 v 50 mv 0 to 105 c b: 1.1:pvr = 8003 0101 table 19. part numbers addressed by mc7447a xxnnnn n x series hardware specification addendum (document order no. mpc7447aecs01ad) mc 7447a xx nnnn n x product code part identifier package processor frequency application modifier revision level mc 7447a hx = hcte bga vs = rohs lga vu = rohs bga 600 733 867 1000 1167 n: 1.1 v 50 mv 0 to 105 c b:1.1: pvr = 8003 0101
mpc7447a risc microprocessor hardware specifications, rev. 5 54 freescale semiconductor ordering information 11.3 part marking parts are marked as the example shown in figure 25 . figure 25. part marking for bga and lga device table 20. part numbers addressed by mc7447at xxnnnn n x series hardware specification addendum (document order no. mpc7447aecs02ad) mc 7447a t xx nnnn n x product code part identifier specification modifier package processor frequency application modifier revision level mc 7447a t = extended temperature device hx = hcte bga 867 1000 1167 n: 1.1 v 50 mv ?40 to 105 c b:1.1: pvr = 8003 0101 notes : ywwlaz is the assembly traceability code. awlyyww is the test code. mmmmmm is the m00 (mask) number. mc7447a xxnnnnlx awlyyww mmmmmm 7447a ywwlaz bga / lga
mpc7447a risc microprocessor hardware specifications, rev. 5 freescale semiconductor 55 ordering information this page intentionally left blank
mpc7447aec rev. 5 01/2006 how to reach us: home page: www.freescale.com email: support@freescale.com usa/europe or locations not listed: freescale semiconductor technical information center, ch370 1300 n. alma school road chandler, arizona 85224 (800) 521-6274 480-768-2130 support@freescale.com europe, middle east, and africa: freescale halbleiter deutschland gmbh technical information center schatzbogen 7 81829 muenchen, germany +44 1296 380 456 (english) +46 8 52200080 (english) +49 89 92103 559 (german) +33 1 69 35 48 48 (french) support@freescale.com japan: freescale semiconductor japan ltd. technical information center 3-20-1, minami-azabu, minato-ku tokyo 106-0047 japan 0120 191014 +81 3 3440 3569 support.japan@freescale.com asia/pacific: freescale semiconductor hong kong ltd. technical information center 2 dai king street tai po industrial estate, tai po, n.t., hong kong +800 2666 8080 support.asia@freescale.com for literature requests only: freescale semiconductor literature distribution center p.o. box 5405 denver, colorado 80217 (800) 441-2447 303-675-2140 fax: 303-675-2150 ldcforfreescalesemiconductor@ hibbertgroup.com freescale? and the freescale logo are trademarks of freescale semiconductor, inc. the described product is a powerpc microprocessor. the powerpc name is a trademark of ibm corp. and used under license. all other product or service names are the property of their respective owners. ? freescale semiconductor, inc. 2006. information in this document is provided solely to enable system and software implementers to use freescale semiconductor products. there are no express or implied copyright licenses granted hereunder to design or fabricate any integrated circuits or integrated circuits based on the information in this document. freescale semiconductor reserves the right to make changes without further notice to any products herein. freescale semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does freescale semiconductor assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. ?typical? parameters which may be provided in freescale semiconductor data sheets and/or specifications can and do vary in different applications and actual performance may vary over time. all operating parameters, including ?typicals? must be validated for each customer application by customer?s technical experts. freescale semiconductor does not convey any license under its patent rights nor the rights of others. freescale semiconductor products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the freescale semiconductor product could create a situation where personal injury or death may occur. should buyer purchase or use freescale semiconductor products for any such unintended or unauthorized application, buyer shall indemnify and hold freescale semiconductor and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that freescale semiconductor was negligent regarding the design or manufacture of the part.


▲Up To Search▲   

 
Price & Availability of MPC7447A06

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X